半导体EDA行业专题研究:EDA,半导体行业的“七寸”

(报告出品方/作者:国泰君安证券,李沐华、李博伦)

1. EDA 是半导体工业软件皇冠上的明珠

1.1. EDA提供自动化辅助设计,是 CAD 在集成电路领域的分 支

EDA 为集成电路的设计、生产等提供自动化辅助设计能力。EDA (Electronic design automation),即电子设计自动化,是指以计算机为工 具,融合图形学、计算数学、微电子学、拓扑逻辑学、材料学及人工智 能等技术,自动完成集成电路的设计、综合、验证、物理设计等一系列 流程。 逐步扩大的集成电路规模以及日益复杂的芯片设计推动了 EDA 工具的 出现,使 EDA 成为 IC设计中不可或缺的工具。从 CAD 到 EDA,EDA 工具的发展经历了三个阶段:

1)计算机辅助设计(CAD)阶段。产业初期,集成电路集成度较低(几 百到上千个晶体管,设计复杂度也较低。设计人员可以通过手工操作完 成电路图的输入、布局和布线。20 世纪 70 年代中期开始,随着集成电 路工艺制程的发展,集成电路中的晶体管数量不断增加,更高的集成度 提高了芯片设计的复杂程度。此时,可编程逻辑设计技术的出现使芯片 设计自动化成为可能,芯片设计人员开始使用计算机辅助设计(CAD) 进行集成电路版图编辑、PCB布局布线,相继出现了许多二维 CAD、三 维系统分析与设计(SAD)软件。

2)计算机辅助工程(CAED)阶段。20 世纪 80 年代,集成电路的进一 步发展对芯片设计提出了更高的要求,芯片设计复杂性大大增加,设计 师依靠手工难以完成相关工作。此时,该领域内出现了使用编程语言进 行芯片设计的思路,设计师开始通过编程语言设计、验证电路,然后利 用逻辑综合工具得到底层物理设计。利用 CAE 软件,设计师可以对设计上存在的错误做出提前的预判,可以在产品投入生产之前预知产品功能 和性能。到了 80 年代后期,EDA 工具已经可以进行设计描述、综合与 优化和设计结果验证。

3)电子设计自动化(EDA)阶段。20 世纪 90 年代以后,在摩尔定律 的大框架下,半导体开始走入大规模集成电路时代,基于先进工艺节点 的集成电路规模可达到数十亿个晶体管,芯片集成度越来越高,芯片设 计也日益复杂。传统的手工设计、CAD 和 CAED 软件难以满足中大型 集成电路设计中复杂而专业性的新需求,以高级语言描述、系统及仿真 和综合技术为特点的 EDA软件应运而生。相较于 CAD 和 CAED,EDA 软件设计更加精细,保证了芯片设计各个阶段、各个环节的准确性,缩 短了设计周期、降低了设计成本。21 世纪以来,EDA 工具快速发展, 如今已贯穿集成电路设计、制造、封测的全部环节。

1.2. EDA 是集成电路产业的基石

EDA 杠杆效应显著,是数千亿美元规模的集成电路行业的重要支撑。根 据 SEMI 的数据,2020 年 EDA行业的全球市场规模超过 100 亿美元, 却撬动着年产值超过 4000 亿美元的集成电路行业。相较于制造芯片的设 备、生产线、原材料等动辄数亿美元的花销,EDA工具在集成电路企业 的采购总额中占比较小。但是,作为贯穿集成电路设计、制造、封装、 测试等产业链各个环节的基础工具,EDA是集成电路产业的咽喉,将直 接影响产品的性能和量产率。一旦 EDA 这一产业链基础出现问题,整 个集成电路产业乃至上层运行的数字经济产业都会受到重大影响。

伴随着芯片制程工艺的提升,EDA 对集成电路产业的影响日益突出。随 着集成电路行业的快速发展,芯片持续向集成化、微小化演进,集成电 路设计和制造的复杂性日益增加,研发和制造成本也逐渐攀升。因工艺 水平或芯片设计失误而导致的制造失败将给集成电路企业带巨大损失。

1.3. EDA产品线繁多,应用于集成电路各个领域

根据设计产品的不同,可将 EDA 工具分为模拟设计类、数字设计类、 晶圆制造类、封装类、系统类等五大类。其中系统类又可以细分为 PCB、 平板显示设计工具、系统仿真及原型验证和 CPLD/FPGA设计工具等。

1)模拟设计类。模拟设计类 EDA工具主要是用于模拟芯片设计环节的 工具,包括电路设计、电路仿真、版图设计、物理验证、寄生参数提取、 射频设计解决方案等产品线。模拟电路的设计从设计原理图开始,设计 师需要根据模拟电路需要实现的功能要求、设计规范等设计合适的电路 结构。然后, 用电路仿真工具来模拟电路的功能、性能等,并根据仿真的结果不断优化电路设计。完成电路仿真后,设计师通过版图设计工具 将每个器件放置到合适位置,并用图形将各个器件进行正确的连接。之 后进行物理验证以确保版图与原理图一致并且符合晶圆制造的要求。完 成物理验证后,设计师还需对版图进行寄生参数提取,并通过后仿真来 验证电路实际工作的各项功能和性能。最后,设计师需要进行压降和电 流密度等可靠性分析,确保电路工作性能不被影响。

2)数字设计类。数字设计类 EDA工具主要是用于数字芯片设计环节中 的工具,包括功能和指标定义、架构设计、RTL 编辑、功能仿真、逻辑 综合、静态时序仿真(STA)、形式验证等工具。数字前端设计流程从 架构设计开始,设计师根据对芯片功能和性能参数的要求给出设计方案 和架构,划分芯片的功能模块。然后,通过硬件语言(HDL,如 Verilog) 对芯片功能进行描述编码。之后,利用仿真工具进行逻辑仿真,检验设 计代码的正确性。经过仿真验证后,通过逻辑综合将设计代码映射到电 路结构,输出描述数字电路结构的电路网表文件,并进行静态时序分析 和形式验证,以检验电路的功能在设计转换和优化的过程中保持不变。 数字后端设计流程通过布局布线工具将电路网表中使用到的各种单元 和 IP 在版图上进行合理摆放、连接,形成布局布线后的电路网表和版图。 之后,设计师需要对版图进行寄生参数提取,分析信号是否完整,并进 行物理验证,确认设计不存在功能和物理规则上的问题。最后进行版图 集成,形成最终交付晶圆代工厂生产的版图。

3)晶圆制造类。晶圆制造类 EDA工具主要是晶圆厂在工艺平台开发和 晶圆生产阶段应用的工具,主要协助晶圆厂完成半导体器件和制造工艺 的设计,建立半导体器件的模型并通过 PDK或建立 IP 和标准单元库等 方式提供给集成电路设计企业。晶圆制造类工具包括晶圆制造类工具包 括工艺与器件仿真工具(TCAD)、器件建模工具、工艺设计套件工具 (PDK)、计算光刻工具、掩膜版校准工具和良率分析工具等。

4)封装类。封装类 EDA工具主要是用于芯片封装环节的工具,包括封 装设计、封装仿真以及信号完整性/电源完整性分析(SI/PI)工具。封装 是指把芯片上的接点用导线连接到封装外壳的引脚上,并用绝缘的塑料或陶瓷材料打包的过程。封装不仅实现了内部芯片与外部电路的连接, 也起着安装、固定、密封、保护芯片及增强电热性能等方面的作用。

5)系统类。系统类 EDA工具又可细分为 PCB设计工具、平板显示设计 工具、系统仿真工具和 CPLD/FPGA等可编程器件上的电子系统设计工 具。其中,PCB设计工具主要用于摆放元器件并将元器件的线连接起来, 包括原理图编辑、版图设计、布局布线、SI/PI 仿真、EMC/EMI 仿真等 工具;平板显示设计工具主要是应用于面板的研发、生产和制造的工具; 系统仿真工具是主要面向系统级别的仿真工具。

按照主要功能划分,可分为 IC 设计软件、电子电路设计与仿真工具、 PCB 设计软件、PLD 设计工具及其他 EDA 软件。 1)IC 设计软件。根据芯片类型不同,可分为数字 IC、模拟 IC 和混合 IC。IC 设计软件涵盖了不同类型芯片设计全流程的各个环节,为用户提 供了从电路到版图、从设计到验证的一站式完整解决方案。IC 设计软件 主要包括设计输入、设计仿真、逻辑综合、静态时序分析、布局布线、 寄生参数提取、物理验证、模拟电路仿真器等工具。市面上的主要产品有 Composer、Viewdraw、Verilog—XL、Design Compile、FPGA Express、 Prime Time 等。

2)电子电路设计与仿真工具。利用电子电路设计与仿真工具,设计师 可以根据设计好的电路图模拟实际电路的功能、性能等,然后根据仿真 的结果不断优化电路设计。市场上主要的电子电路设计与仿真工具包括 SPICE/PSPICE、EWB、Matlab、SystemView、MMICAD 等。

3)PCB 设计软件。PCB设计软件是用来画板级电路图、布局布线以及 仿真的工具,主要用于摆放元器件并将元器件的线连接起来。PCB设计 软件种类很多,包括 Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、 Expedition PCB、Zuken CadStart 等。

4)PLD 设计工具。PLD 是一种由用户根据需要而自行构造逻辑功能的 数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和 FPGA (Field Programmable Gate Array)。它们的基本设计方法是借助于 EDA 软件,用原理图、硬件描述语言等方法,生成相应的目标文件,最后用 编程器或下载电缆,由目标器件实现。生产 PLD 的厂家很多,但最有代 表性的 PLD 厂家为 ALTERA、Xilinx 和 Lattice 公司,常用的 PLD 设计 软件包括 MAX+PLUS II、Vertex—II Pro、ispLSI2000/5000/8000 等。

2. 市场格局高度集中,国内厂商潜力巨大

2.1. 全球 EDA市场规模稳健增长

先进工艺的技术迭代和下游领域需求驱动全球 EDA市场规模稳定上升。 EDA工具应用于集成电路的设计、制造及封测各个环节,其市场需求与 集成电路行业的发展紧密相关。近年来,集成电路产业技术迭代较快, 日益复杂化的设计及制造要求催生了越来越多的 EDA工具需求,推动 全球 EDA市场发展。根据 SEMI 统计,2020 年全球 EDA市场规模为 114.67 亿美元,同比增长 11.63%,实现 8 年 CAGR7.28%,呈稳定上升 趋势。根据 Verified Market Research 数据,全球 EDA市场规模有望于2028 年达到 215.6 亿美元,2020-2028 年 8 年复合增速为 8.21%。

强强联合与持续开发是全球 EDA 发展的主要思路。面对当前摩尔定律 的困境和集成电路行业的发展特点,全球主流 EDA技术发展呈现两种 思路:1)与全球领先集成电路企业合作,推动工艺节点向前演进和支 持不同工艺平台的创新应用;2)持续挖掘现有工艺节点的潜能,不断 进行流程创新以缩短产品上市时间,提升产品竞争力。

中国集成电路产业的快速发展为国产 EDA 提供了巨大的发展空间。近 年来,受益于产业政策、产业环境、投资支持、行业需求、人才回流等 各方面利好的影响,中国 EDA 市场逐渐兴起。根据中国半导体行业协 会的数据,2020 年中国 EDA市场规模约 93.1 亿元,同比增长 27.7%, 占全球市场份额的 9.4%。随着中国集成电路产业的快速发展,中国的集 成电路设计企业数量快速增加,EDA工具作为集成电路设计的基础工具, 也将受益于高度活跃的下游市场,不断扩大市场规模。根据 GIA 报告, 中国 EDA 市场 2020-2027 年均复合增长率有望达到 11.7%,高于全球 EDA市场增速。

芯片设计应用的全流程覆盖以及关键环节的核心 EDA 工具是中国 EDA 行业未来发展的重点。目前,国内集成电路在先进工艺节点的技术发展 上,较国际最先进水平仍有较大差距,先进设备等关键生产元素的获取 也受到了一定限制。未来,我国 EDA 行业将重点突破芯片设计应用的 全流程覆盖以及关键环节的核心 EDA 工具,力争形成国际影响力和市 场竞争力,在关键环节打破国际 EDA巨头的垄断。(报告来源:未来智库)

2.2. 市场格局高度集中,头部效应显著

EDA 行业全球市场呈现三足鼎立局面。EDA行业自 20 世纪八九十年代 的百家争鸣发展至今,经历了多轮市场竞争、淘汰和整合,形成了当前 新思科技(Synopsys)、铿腾电子(Cadence)和明导国际(Mentor Graphics)三 足鼎立的全球 EDA市场格局。2020 年,Synopsys 的全球 EDA市场份额 为 32.14%,占据行业第一。同年,Cadence和 Mentor Graphics 的市场份 额分别为 23.4%和 14%,位于第二、第三。前三家 EDA公司市占率之 和将近 70%,行业高度集中,寡头垄断格局明显。除上述五家 EDA 公 司外,全球范围内的 EDA 企业中,优先突破关键环节核心工具的典型 公司还有 PDF Solutions、概伦电子、广立微等;优先突破部分设计应用全流程解决方案的典型公司有 SILVACO、Jedat Inc.、华大九天等。

2.3. EDA的竞争格局注定寡头垄断

软件的从众特性是造成 EDA 市场高度集中的原因之一。EDA行业是技 术、算法高度密集的行业,其底层思想是数学、物理等科学定理,是能 够被大众接受的,因此 EDA软件是标准化的。经过众多用户长期使用 的产品化软件稳定且质量较高,并持续进行优化和完善,因此产品化软 件的消费者具有从众特性。考虑到 EDA工具对企业发展的重要性,集 成电路设计和制造商通常选用市场上流行的 EDA工具供应商,以避免 由于工具质量、性能等问题造成的损失。领先的 EDA工具提供商与普 通提供商间的差距逐渐拉开,形成了寡头垄断的局面。

EDA 厂商与芯片设计和制造企业间的生态关系也是形成当今竞争格局 的原因之一。在 EDA产业生态圈中,EDA厂商分别向芯片设计公司和 制造公司提供设计类 EDA软件和制造类 EDA软件。芯片设计企业从EDA厂商购买 EDA工具,向制造企业提供设计版图。芯片制造企业向 EDA厂商输送 PDK,负责生产芯片并向设计企业提供反馈数据。总的 来说,EDA工具的技术开发和商业销售依托于制造、设计、EDA行业 三方所形成的生态圈,需要产业链上下游的全力支持。PDK对于 EDA 工具的研发是至关重要的,得不到晶圆厂 PDK的 EDA公司很难经营下 去,因此与晶圆厂的良好关系有利于 EDA厂商从竞争者中脱颖而出, 这在一定程度上促成了 EDA市场高度集中的格局。此外,领先的 EDA 厂商与领先的集成电路制造和设计企业具备长期合作基础,其 EDA工 具工艺库信息完善,能够随先进工艺演进不断迭代。然而,市场尾部 EDA 厂商难以获得生产线的最新工艺数据参数,无法在与工艺紧密相关的工 具领域进行技术布局,束缚了其业务的发展与完善,这进一步拉开了 EDA企业间的差距,形成了高度集中的市场格局。

人才储备壁垒在一定程度上促成了寡头垄断的形成。EDA行业具有技术 面广、多学科交叉融合的特点,从事 EDA工具开发需要工程师同时理 解数学、芯片设计、半导体器件和工艺,对综合技能要求很高。培养一 名 EDA研发人才,需要经过长时间的专业教育和系统训练。人才集聚 与人才培养方面,行业内领先企业具备更高的知名度与更加完善的技术 培训体系,对人才的吸引力较强,而普通企业很难形成人才吸引力与完 善的人才培养机制。研发人才是 EDA厂商保持研发创新以及产品市场 竞争力的关键,因此,随着领先企业和普通企业间的人才差距不断扩大, 以及产品竞争力的差距持续扩大,行业集中度也将持续提升。

2.4. 国家政策支持推动国产 EDA发展

国家出台一系列政策,为国产 EDA 工具的发展提供了良好环境,加速 EDA 工具国产化替代进程。EDA工具是集成电路产业的咽喉,对行业 生产效率、产品技术水平有重要影响。随着集成电路产业的快速发展, 设计规模、复杂度、工艺先进性等不断提升,EDA工具的重要性不断凸 显。一旦 EDA受制于人,整个国内芯片产业的发展都可能停摆,因此 发展国产 EDA迫在眉睫。近年来,国家陆续出台了多项政策,从财税 政策、投融资政策、研究开发政策、进出口政策、人才政策、知识产权政策、市场应用政策、国际合作政策等方面鼓励国产 EDA厂商发展与 创新,助力国产 EDA工具软件技术水平的提升,加速 EDA工具国产化 替代进程。

国家政策对 EDA 产业整体竞争格局有一定影响力。为了支持各地集成 电路行业的发展,政府在上海、武汉、南京、贵州、合肥、苏州、北京、 厦门、深圳等多个城市先后成立集成电路技术及产业服务中心(ICC)。 ICC 由国内 EDA和设计领域的骨干企业作为联盟会员共同组成,致力于 EDA共性和前沿技术研究,为产业发展提供技术支持及服务。服务中心 通过提供公共 EDA技术平台、IP 复用与 SOC 开发平台、MPW 服务平 台、测试验证工程技术中心、教育培训中心以及专业孵化器等服务帮助企业降低创新成本和风险,打造集成电路全产业链,解决集成电路企业 在生产和运营过程中遇到的各种实际问题。其中,政府集中采购 EDA 工具后开放给中小、初创公司使用解决了这些公司无法负担昂贵 EDA 工具费用的问题,同时,这种集中的、大规模的采购可能会对 EDA行 业的竞争格局产生较大影响。总的来说,在大国博弈的背景下,国家政 策能够推动产业发展并在一定程度上改变整体竞争格局。

3. EDA 行业三巨头:新思科技、铿腾电子、明导国际

3.1. 新思科技(Synopsys):全球领先的 EDA 和 IP 供应商

新思科技是全球 EDA 龙头。新思科技成立于 1986 年,总部位于美国加 州山景城。自成立以来,公司通过多次收并购获取新的技术,逐步完善 自身产品线,实现了 IC 设计全流程覆盖。1990 年,公司收购 Zycad 公 司的 VHDL 仿真业务,并推出了测试综合产品。2002 年,公司收购 Avanti, 一举补齐了数字集成电路 EDA全流程所需要的团队和技术,成为历史 上第一家可以提供顶级前后端完整 IC 设计方案的领先 EDA工具供应商。 2008 年,新思科技超越铿腾电子,成为全球最大的 EDA厂商。目前, 公司是全球排名第一的 EDA解决方案提供商,全球排名第一的芯片接 口 IP 供应商,同时也是信息安全和软件质量的全球领导者。Synopsys 的产品优势在于数字前端、数字后端和验证测试。

国际领先 EDA 企业中,Synopsys 产品线最全,实现 EDA 业务设计到制 造全流程覆盖。 1)设计环节。公司芯片设计领域包括 Customer Design Platform 和 Fusion Design Platform 两个设计平台,以及 3DIC 设计、物理实现、物理验证、 RTL 设计与综合、Signoff、FPGA设计等产品。

2)验证环节。在芯片验证领域,公司使用业内领先的 VCS 仿真、Verdi 调试、SpyGlass 静态验证、VC Formal和经过硅验证的 IP 验证整个 SoC, 帮助用户更早更快地找到 SoC 缺陷,更早启动软件,并验证整个系统。 公司针对验证环节的产品包括仿真、静态与形式验证、调试与覆盖、虚 拟原型、硬件仿真、FPGA验证等。

3)制造环节。针对芯片制造环节,公司提供硅工程工具,帮助用户更 早实现工艺开发、先进光刻技术以及良率管理。根据行业标准,公司的 硅工程工具经过低至 5nm 及以下成熟和新兴工艺节点的生产验证,在速 度、面积、功耗、可测性和良率之间实现理想权衡。公司硅工程工具包 括光罩合成、TACD、光罩数据准备、良率管理等。

除了上述产品外,公司业务还涵盖半导体 IP 以及软件完整性。 4)半导体 IP。公司半导体 IP 业务提供芯片架构、子系统、信号/电源完 整性、硬化、原型设计套件和硅晶初启支持,帮助用户将独特的构想推 向市场。此外,公司对基础架构、IP 核开发与质量的全面投资和综合的 全球技术支持相结合,将加快用户产品投入批量生产。公司半导体 IP 业 务相关产品包括接口 IP、处理器解决方案、安全性 IP、存储器和逻辑库、 模拟 IP 等。

5)软件完整性。公司软件完整性业务为客户提供开发全生命周期的解 决方案,将安全性、质量和合规性测试贯穿软件开发的整个流程中,对 安全漏洞和缺陷进行及时的检测和修复。公司相关产品和服务包括静态 分析(SAST)、软件组成分析(SCA)、交互式应用安全测试(IAST)、 渗透测试等。

营收与净利稳步增长。近年来,公司的经营业绩规模持续扩大,截至 2021 年营业收入达到 42.04亿美元,同比增长 14.08%,净利润达 7.56亿美元, 同比增长 14%。公司 2010-2021 年营业收入的 CAGR 为 10.65%,同时期 净利润的 CAGR 为 11.12%,同步稳健增长。

3.2. 铿腾电子(Cadence):电子系统设计领域的关键领导者

昔日霸主,如今稳坐全球第二。铿腾电子由 SDA Systems 和 ECAD 两家 公司于 1988 年兼并而成,总部位于美国加州圣何塞。2008 年以前, Cadence 是全球最大的EDA厂商,而后被Synopsys 超过,位居全球第二。该公司是世界领先的 EDA与 IP 供应商,其智能设计解决方案覆盖 IC 设 计全流程,包括定制 IC 和射频、数字设计和签核、集成电路封装、PCB 设计、系统级设计、SIP 设计等。公司的优势在于模拟和混合信号的定 制化电路和版图设计类工具。 1)定制 IC/模拟/RF 设计。从块级和混合信号仿真到布线和库表征,公 司通过自动化许多常规任务提高用户设计效率,帮助用户节省时间并最 大限度地减少错误。公司相关领域的产品包括电路设计、电路仿真、版 图设计、布局验证、库表征、射频/微波设计工具等。

2)数字设计和 Signoff。芯片设计的复杂程度随着设计规模的增大而增 大,使得功率、性能和面积(PPA)目标更具挑战性。随着工期不断缩短, 设计任务变得更加艰巨。Cadence 数字化全流程通过结合核心功能和关 键技术,提高设计效率,助力客户提前完成 PPA目标。公司相关业务包 括 Innovus 实施系统、3D-IC 平台、Cerebrus 等。

3)IC 封装设计与分析。公司为单芯片和多芯片高级封装和模块提供世 界一流的跨领域设计规划、优化和布局平台,其封装产品提供自动化和 精确性,有利于加快设计过程,提高高级封装和跨域互操作性的准确性。 公司 IC 封装设计相关产品包括集成电路封装设计、跨平台协同设计与分 析、多芯片(芯粒)设计、IC 封装的 SI/PI 分析、用于 IC 封装的 SI/PI 分 析点工具等。 表 12:Cadence IC 封装设计与分析相关的主要产品包

4)PCB 设计。公司使用仿真驱动的解决方案,简化从概念到制造的复 杂设计,以提供设计周期更短、更可预测的 PCB设计解决方案。此外, 公司产品及方案允许协同设计,用户可在单一设计或复杂的多板 PCB系 统上跨墙、跨设计域进行协作。公司 PCB设计产品包括前端原理图捕获、 后端板布局和布线、库与设计数据流程管理、模拟/混合信号仿真、SI/PI 分析、SI/PI 分析点工具等。 净利润增速强于营收增速。2021 年,铿腾电子实现营业收入 29.88亿美 元,同比增长 11.38%,实现净利润 6.96 亿美元,较 2020 年增长 17.83%。 公司2010-2021年营业收入和净利润的CAGR分别为 11.13%和16.76%, 相较于营业收入增长,净利润增速较快。

3.3. 明导国际(Mentor Graphics):全流程 EDA工具提供商

Mentor 是全球 EDA 头部厂商,仅次于 Synopys 和 Cadence 。Mentor Graphics 成立于 1981 年,是 EDA三巨头中最早成立的公司。自成立后, Mentor 通过一步步收购多家在细分领域技术上数一数二的中小型 EDA 公司,助力自身成为全球 EDA领导厂商之一。公司主要为客户提供完 整的软件/硬件设计解决方案,具体包括 SoC、IC、FPGA、PCB、SI 设 计工具和服务,帮助客户以短时间和低成本在市场上推出功能强大的电 子产品。该公司于 2016 年被德国西门子收购,成为 Siemens 的 EDA部 门,不再单独披露相关财务数据。公司 EDA产品线完善,覆盖了模拟 IC 设计、数字 IC 设计、封装、制造、系统等环节,实现了 EDA全流程 覆盖。公司产品在物理验证、晶圆制造和封装领域优势明显。

3.4. EDA巨头的发展是一部并购史

收购兼并是 EDA 企业发展的重要手段。持续的研发创新和收购兼并是 EDA企业完善自身产品线、提高市场竞争力和市场份额的主要途径。首 先,EDA工具属于工业软件中的设计研发类软件,这类软件的研发有着 难度大、周期长、成本高等特性。EDA厂商需要持续的进行高额的研发 投入,以支持研发人员软件开发和创新工作,且研发周期和成果具有极 大的不确定性,意味着 EDA 厂商可能耗费大量人力、物力和时间后仍 无法在产品上取得进展。相较于自主研发,收购兼并是一个更直接且高 效的发展途径。此外,EDA行业下游半导体受摩尔定律影响,技术更新 迭代较快,客户对 EDA 工具的要求也持续提高。EDA 厂商需要不断满 足客户的需求以维持或提高市场份额,研发落后或失败则可能导致企业 失去市场竞争力,对企业发展有较大不利影响。因此,收购兼并成为 EDA 企业发展的主要手段。

EDA 三巨头通过收购兼并从竞争者中脱颖而出。三家公司自成立以来, 通过收并购逐步掌握领先产品技术并补全自身产品线,截至 2021 年,合 计收购超 200 次。以 Synopsys 为例,2002 年,Synopsys 收购 Avanti公 司,使得 Synopsys 成为 EDA历史上第一家可以提供顶级前后端完整 IC 设计方案的领先 EDA工具供应商。在 Cadence 的众多并购案例中,1989 年收购 Verilog 是其最为重要的一次并购,它成功地解决了复杂度带来的芯片性能验证问题,也标志着 EDA 从设计领域同时进入了软件模拟和 硬件仿真领域,设计与仿真能够通过使用同一家公司的不同套软件来完 成。此外,在Mentor 发展的近30年历程中,其收购了Synergy Dataworks、 Silicon Compiler Systems 等众多在细分领域技术上数一数二的中小型 EDA公司,助力自身成为全球 EDA领导厂商之一。(报告来源:未来智库)

4. 国内主要玩家:华大九天、概伦电子、广立微

4.1. 华大九天:国产 EDA龙头

华大九天是目前国内规模最大、产品线最完整、综合技术实力最强的 EDA 企业。公司成立于 2009 年,公司主要从事用于集成电路设计与制 造的 EDA 工具软件开发、销售及相关服务业务。其团队部分成员出身 中国科学院,根正苗红,部分成员曾参与设计中国第一款具有自主知识 产权的 EDA 工具-“熊猫 ICCAD 系统”,具有显著的历史积累优势。 公司主要产品包括模拟电路设计全流程 EDA 工具系统、数字电路设计 EDA工具、平板显示电路设计全流程 EDA工具系统和晶圆制造 EDA工 具等 EDA软件产品,覆盖了模拟、数字和工艺开发(制造)等流程。

1)模拟电路设计全流程 EDA 工具系统。公司是我国唯一能够提供模拟 电路设计全流程 EDA 工具系统的本土 EDA 企业,该 EDA 工具系统包 括原理图编辑工具(Aether SE)、版图编辑工具(Aether LE)、电路仿真 工具(ALPS)、物理验证工具(Argus)、寄生参数提取工具(RCExplorer) 和可靠性分析工具(Polas)等,为用户提供了从电路到版图、从设计到 验证的一站式完整解决方案。

2)数字电路设计 EDA 工具。公司的数字电路设计 EDA 工具为数字电 路设计的部分环节提供了特色解决方案,具体包括单元库特征化提取工 具(Liberal)、单元库/IP 质量验证工具(Qualib)、时钟质量检视与分析 工具( ClockExplorer)、高精度时序仿真分析工具(XTime)、时序功耗优化工具(XTop)以及版图集成与分析工具(Skipper)等。

3)平板显示电路设计全流程 EDA 工具系统。公司是全球唯一提供全流 程 FPD 设计解决方案的供应商。平板显示电路设计与模拟电路的设计理 念、设计过程和设计原则有一定的相似性。公司在已有模拟电路设计工 具的基础上,结合平板显示电路设计的特点,开发了全球领先的平板显 示电路设计全流程 EDA 工具系统。该系统包含器件模型提取工具 (EsimFPD Model)、原理图编辑工具(AetherFPD SE)、版图编辑工具 (AetherFPD LE)、电路仿真工具(ALPSFPD)、物理验证工具(Argus FPD)、寄生参数提取工具(RCExplorerFPD)和可靠性分析工具 (ArtemisFPD)等,为用户提供了一套从原理图到版图,从设计到验证 的一站式解决方案,使平板显示电路设计流程高效平滑,保证了设计质 量,提升了设计效率。

4)晶圆制造 EDA 工具。公司针对晶圆制造厂的工艺开发和 IP 设计需求, 提供了相关的晶圆制造 EDA工具,包括器件模型提取工具(XModel)、 存储器编译器开发工具( SMCB)、单元库特征化提取工具(Liberal)、单元库/IP 质量验证工具(Qualib)、版图集成与分析工具(Skipper)以 及模拟电路设计全流程 EDA 工具等,为晶圆制造厂提供了重要的技术 支撑。

营业收入与净利润持续大幅增长。2019 年度、2020 年度和 2021 年度, 公司实现营业收入 2.57 亿元、4.15 亿元和 5.79 亿元,2019-2021 年均复 合增长率为 50.07%,增长迅猛。同时期公司净利润分别为 0.57 亿元、 1.04 亿元和 1.39 亿元,实现 56.12%的 CAGR。公司营收和净利的快速 增长受益于国内 EDA 行业的持续增长和公司市场份额的不断提升。

EDA 软件销售和技术开发服务是公司收入的主要来源。报告期各期 EDA 软件销售收入分别为 2.15 亿元、3.45 亿元和 4.86 亿元,技术开发 服务收入分别为 0.39 亿元、0.61 亿元和 0.82 亿元。其他业务收入主要为代理软件销售和配套硬件销售,占比较小。

重视产品研发和产品升级工作,对主营产品有较为持续的研发投入。 2019至2021年间,公司研发费用占同期营业收入的比例分别为 52.50%、 44.22%、52.57%,研发费用率较高。持续的产品研发投入将不断推动产 品创新和优化升级,有利于公司在满足原有客户需求的基础上,不断拓 展新客户,成为公司营业收入、盈利能力可持续性的重要保障。

4.2. 概伦电子:国产器件建模和电路仿真 EDA领先供应商

概伦电子是一家具备国际市场竞争力的 EDA 企业。公司成立于 2010 年, 一直专注于 EDA 工具的自主设计和研发,目前器件建模和电路仿真工 具已达到国际领先水平,是国内为数不多的拥有国际竞争力的国产 EDA 企业。公司的主营业务为向客户提供被全球领先集成电路设计和制造企 业长期广泛验证和使用的 EDA 产品及解决方案,主要产品及服务包括 制造类 EDA 工具、设计类 EDA 工具、半导体器件特性测试仪器和半导 体工程服务等,覆盖了模拟、封装/PCB 和工艺开发(制造)等领域,尚 未实现全流程覆盖。

1)制造类 EDA 工具。公司的制造类 EDA工具主要为器件建模及验证 EDA工具,用于快速准确地建立半导体器件模型,是集成电路制造领域 的核心关键工具之一。公司器件建模及验证 EDA工具主要包括先进器 件建模平台(BSIMProPlus)、跨平台器件建模软件(MeQLab)、集成电 路工艺与设计验证评估平台(ME-Pro)、先进 PDK验证软件(PQLab) 等。该类 EDA工具能够用于建立晶体管、电阻、电容、电感等半导体 器件的基带和射频模型,能够支持业界绝大多数标准模型和宏模型、 Verilog-A等定制化模型。公司器件建模及验证 EDA工具主要功能包括 器件模型的自动建模和优化、模型质量检测和验证、不同工艺平台模型 的评估比较等,能够满足目前各种先进和成熟工艺节点的半导体器件建 模需求。

2)设计类 EDA 工具。公司的设计类 EDA工具主要为电路仿真及验证 EDA 工具,用于大规模集成电路的电路仿真和验证,优化电路的性能和 良率,是整个集成电路设计流程从前端设计到后端验证的核心 EDA工 具。公司的电路仿真及验证 EDA工具能够适用于模拟电路、数字电路、 存储器电路及混合信号电路等集成电路,实现晶体管级电路仿真和验证、 芯片良率和可靠性分析、电路优化等功能。公司产品分为高精度中小规 模 SPICE 仿真器(NanoSpice)、较高精度大规模 GigaSPICE 仿真器(NanoSpice Giga)、中高精度超大规模 FastSPICE 仿真器(NanoSpice Pro)等类型,能够满足用户在不同精度、速度、容量上的电路仿真、验 证、优化等需求。

3)半导体器件特性测试仪器。公司的半导体器件特性测试仪器是测量 半导体器件各类特性的工具,为制造类 EDA工具提供高效精准的数据 支撑。公司半导体器件特性测试仪器主要为半导体参数测试系统(FS-Pro) 和低频噪声测试系统(9812DX),该类工具能够支持多种类型的半导体 器件,具备精度高、测量速度快和可多任务并行处理等特点,能够满足 晶圆厂和集成电路设计企业对测试数据多维度和高精度的要求。

4)半导体工程服务。公司半导体工程服务主要是利用自有的 EDA工具 和测试设备,基于自身在建模建库领域多年积累的经验和能力,为客户 提供专业的器件建模和半导体器件特性测试服务,帮助客户更加快速、 有效地使用公司产品,增加客户粘性。工程服务中心和测试实验室是公 司半导体工程服务的主要平台,其服务内容主要包括测试结构设计、半 导体器件测试、器件模型建模和验证、PDK 生成和验证等。

公司营业收入高速增长,净利扭亏为盈。2019 年以来,公司营业收入持 续增加,2020 年营收高达 1.37 亿元,同比增长 109.94%。2021 年营业收 入为 1.94 亿元,较 2021 年同比增加了 41.01%,实现 72.06%的 CAGR。 公司收入增长与行业景气度高度相关,集成电路行业景气度不断走高推 动对公司 产品的需求。此外,国家政策对行业的大力扶持使得公司业务快速增长。2019-2021 年公司净利润分别为-8.77 亿元、0.28 亿元和 0.28 亿元,2019 年亏损是由于公司计提较多股权支付费用导致,净利润于 2020 年扭亏为盈。

公司主营业务收入大部分来自 EDA 工具授权。2019 至 2021 年间,集成 电路制造类 EDA 工具营业收入分别为 0.37 亿元、0.59 亿元、0.77 亿元, 集成电路设计类 EDA 工具营收分别为 0.19 亿元、0.36 亿元、0.62 亿元, EDA 工具授权业务收入占比总营收超过 65%。半导体期间特性测试仪营 收分别为 0.06 亿元、0.24 亿元、0.46 亿元,半导体工程服务收入分别为 0.03 亿元、0.18 亿元、0.06 亿元。

公司重视核心技术的开发,每年投入大量资金用于研发。2019-2021 年, 公司研发费用率分别为 361.94%、38.91%、40.99%,始终保持高研发投 入,保障公司不断开发领先核心技术。

4.3. 广立微:成品率提升及电性分析工具的领先供应商

广立微是国内领先的 EDA 软件与晶圆级电性测试设备供应商。公司成 立于 2003 年,是一家专为半导体业界提供芯片良率提升和电性测试方 案的领先供应商。公司提供 EDA 软件、电路 IP、WAT 电性测试设备以 及与芯片成品率提升技术相结合的整套解决方案,在集成电路设计到量 产的整个产品周期内实现芯片性能、成品率、稳定性的提升。

公司业务主要覆盖测试芯片设计、电学性能测试、测试数据分析三大环 节。 在测试芯片设计环节,公司的主要产品为 SmtCell、TCMagic、ATCompiler、Dense Array 等,其中,SmtCell 可实现测试结构快速版图 设计,TCMagic、ATCompiler、Dense Array 可实现测试结构摆放布局及 自动绕线,客户可以利用公司的软件实现结构设计、布局绕线,以及与 公司定制的电路 IP 集成在一个统一的软件工具环境中,快速完成自动设 计流程。此外,公司的产品诊断芯片设计软件 ICSpider 将通过对产品芯 片中基本器件、关键路径等的系统分析和直连检测,来帮助客户更直观、 高效、有针对性地提升产品成品率和性能指标。电学性能测试环节,晶 圆代工厂可以利用 WAT 测试机,对晶圆中的测试芯片进行测试,从而 得到反映工艺和产品状况的实际数据。在得到测试数据后,进入测试数 据分析环节。技术人员可以利用公司的 DataExp 系列软件,结合设计参 数和制造过程中其他相关数据,对测试数据进行分析,快速找到影响成 品率的因素和提升机会。公司还提供成品率提升技术服务,通过一站式 测试芯片设计、电性测试及分析服务,助力客户新工艺/新产品线的成功 研发,并极大地缩短研发周期、提高研发质量和成品率。

公司营收与净利保持高速增长态势。2019-2021年,公司分别实现营业 收入 0.66 亿元、1.24 亿元和 1.98 亿元,CAGR 达 73.07%,增长迅猛。 公司营收的高速增长受益于多年来积累的技术优势与产品,以及与主要 集成电路厂商合作关系的不断深化。同时期公司净利润分别为 0.19 亿元、 0.5 亿元和 0.64 亿元,实现 83.18%的 CAGR,与收入保持同步增长态势。

公司主营业务为测试机及配件、软件工具授权、软件技术开发、测试服 务。2019 年至 2021 年,公司测试机及配件业务飞速发展,营业收入分 别达 0.08 亿元、0.31 亿元、1.01 亿元。该业务 2021 年收入占比营业总 收入超 50%,成为公司最主要收入来源。2019-2021 年,公司软件工具 授权收入分别为 0.26 亿元、0.3 亿元、0.53 亿元,软件技术开发营业收 入分别为 0.3 亿元、0.61 亿元、0.44 亿元,测试服务和其他业务相对较 少。

公司重视研发投入,研发费用率维持在 30%以上。2019-2021 年,公司 研发费用率分别为 40.45%、32.69%和 33.05%,始终保持高研发投入。(报告来源:未来智库)

4.4. 国产 EDA厂商与国际巨头仍有差距,但正在逐渐缩窄

与国际巨头相比,国产 EDA 厂商在产品线的完善、营收和利润规模等 方面有较大差距。从产品线看,Synopsys、Cadence 和 Mentor 是全球仅 有的产品线覆盖 IC 设计全流程的 EDA厂商,包括模拟、数字、封装/PCB、 FPGA、系统、工艺开发(制造)等各个环节,且众多产品具有国际竞 争优势。相比之下,国内 EDA 主要玩家华大九天、概伦电子、广立微 等仅实现部分环节的产品覆盖,存在先进性有限和产品不齐全的短板。 从营收和利润规模来看,2021 年 Synopsys 和 Cadence 分别实现 42.04 亿 美元和 29.88 亿美元的营业收入,以及 7.56 亿和 6.96 亿美元的净利润。

Mentor 由于被西门子 EDA 收购,业绩数据不做单独披露。同年,华大 九天、概伦电子和广立微的营业收入分别为 5.79 亿元、1.94 亿元、1.98 亿元,净利润分别为 1.39 亿元、0.28 亿元和 0.64 亿元,与国际巨头差 距明显。 国产 EDA 处于起步阶段,在国家政策的推动下发展迅速,未来市场份额 有望持续攀升。中国 EDA 行业起步较晚,与国际 EDA 厂商相比,落后数 十年,仍处于起步阶段。然后,国内 EDA 厂商的营收和利润增速远超国 际 EDA 厂商,快于行业平均增速。2019-2021 年华大九天、概伦电子和 广立微等国内领先玩家营收和利润的 CAGR 均超过 50%,增长迅猛,其市 场份额也在持续提升。这背后是国家对国产 EDA 产业政策的出台、大量 扶持资金的投入、以及新的融资渠道的推出等。EDA 是集成电路产业“卡 脖子”的关键,近年来,由于国家安全和产业安全的需要,中国一直致 力于信息产业关键技术自主可控。EDA 作为工业软件里的信创赛道,在 民企和国企的共同努力下,在市场竞争和政策扶持的双重力量的支持下, 发展迅速,目前国内部分优质的 EDA 企业已在其各自细分领域实现国产 替代。未来,在国家政策支持下,国产 EDA 或会在全球 EDA 市场占据更 大份额。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。